Advertisements


We are Sorry, This Page doesn't Exist


PCB supply chain upgrading tech specs for 5G smartphones

Taiwan PCB makers, boasting a significant presence in the supply chain of Apple, are quietly gearing up for specs upgrades on their products to support 5G smartphones, according to industry sources......»»

Category: topSource: digitimesJul 22nd, 2019

Heterogeneous integration bringing more innovation: Q&A with Etron chairman Nicky Lu

The Taiwan semiconductor industry is gearing up to tap huge business opportunities arising from AI and IoT applications, such as machine learning, cloud to edge computing and big data, and the next-generation connected cars and vehicles, accor.....»»

Category: topSource: digitimesMar 21st, 2019

PIDA to promote X-on-silicon concept to extend optoelectronics applications, says new chairman

Optoelectronics and Si-based semiconductor are the two major pillars of Taiwan's hi-tech industry and major growth engine .....»»

Category: topSource: digitimesAug 29th, 2018

Taiwan server ODMs brace for lockdown impact

Taiwan-based server ODMs are all capable of shifting production to their factory sites overseas if COVID-19 is spreading out of control in Taiwan resulting in a nationwide lockdown, according to industry sources......»»

Category: topSource: digitimesMay 19th, 2021

Volume production of miniLED-backlit MacBook Pro likely to be delayed

Volume production of Apple's miniLED-backlit MacBook Pro series may have to be postponed to fourth-quarter of 2021 or first-quarter 2022 if the sudden surge in the number of COVID infections in Taiwan continues to worsen, according to industry sources......»»

Category: topSource: digitimesMay 18th, 2021

PCB suppliers to be little affected by mounting pandemic in Taiwan

Taiwan's PCB makers will see only limited impact from the worsening domestic pandemic infections as the majority of their production capacities are based in China, according to industry sources......»»

Category: topSource: digitimesMay 18th, 2021

Darwin upbeats about demand for miniLED backlight applications

Taiwan-based Darwin Precisions, which offers fine metal masks (FMM) for OLED panel production, expects robust demand for miniLED-backlit applications to drive its sales growth in 2021, according to company chairman Michael Tsai......»»

Category: topSource: digitimesApr 28th, 2021

ChipMOS to expand backend capacity for memory chips

Backend house ChipMOS Technologies will expand production capacity for memory chips by 30-40% in 2021 to meet strong demand from major memory module makers in Taiwan, according to industry sources......»»

Category: topSource: digitimesApr 22nd, 2021

The microchip shortage explained: How it"s impacting car prices and the tech industry

A shortage of microchips has slowed production of cars and laptops and delayed the iPhone 12. What happened? Did the.....»»

Category: topSource: usatodayApr 4th, 2021

VCM makers to expand production capacity

Japan-based VCM (voice coil motor) suppliers, mainly Alps Alpine and Mizumi, have asked their Taiwan-based contract makers including Audix to expand production capacity by about 40% by the end of June or early July 2021, according to industry sources......»»

Category: topSource: digitimesMar 4th, 2021

IC design houses passing on rising production costs to clients

Rising foundry and backend quotes are prompting IC design houses to make efforts to pass on higher production costs to customers, according to industry sources in Taiwan......»»

Category: topSource: digitimesMar 4th, 2021

Car PCB makers may see revenues hit by deferred shipments

Taiwan's automotive PCB makers may see short-term impacts on their revenues from deferred deliveries of shipment to car vendors who are forced to suspend production due to shortages of automotive chips, according to industry sources......»»

Category: topSource: digitimesFeb 24th, 2021

Market Snapshot: Dow, S&P 500 erase sharp losses to end higher as Powell pledges Fed support for economy through COVID crisis

The Dow and S&P 500 eked out gains Tuesday, while the tech-heavy Nasdaq Composite finished lower, as congressional testimony from Federal Reserve Chairman Jerome Powell helped reverse a market selloff tied largely to a sharp rise in bond yields......»»

Category: topSource: marketwatchFeb 23rd, 2021

IDMs may evaluate more outsourcing

IDM vendors may consider outsourcing more of their production starting 2022, with Taiwan-based contract chipmakers being among the beneficiaries, according to industry sources......»»

Category: topSource: digitimesFeb 23rd, 2021

Highlights of the day: Qualcomm keen to secure production capacity support

Major chip vendors have been striving for support from production partners, and Qualcomm reportedly will even appoint a VP dedicated fully to working to secure capacity in Taiwan. Qualcomm and MediaTek are also said to be both stepp.....»»

Category: topSource: digitimesFeb 23rd, 2021

IC designers brace for insufficient foundry support throughout 2021

Taiwan's IC design houses expect their book-to-bill ratios to stay well above 1.0 throughout 2021, thanks to persistently tight foundry capacity undermining their shipment fulfillments despite strong orders, according to industry sources......»»

Category: topSource: digitimesFeb 4th, 2021

Notebook ODMs mull hiking quotes to reflect rising components costs

Taiwan-based notebook ODMs plan to raise their quotes to reflect rising component prices that have been heaping pressure on their production costs, according to industry sources......»»

Category: topSource: digitimesFeb 1st, 2021

AUO to run production at full utilization during upcoming holiday

LCD panel maker AUO will manage to maintain full capacity at its facilities in Taiwan and China during Lunar New Year to meet robust demand from clients, according to company chairman Paul Peng......»»

Category: topSource: digitimesFeb 1st, 2021

Foundries building additional capacity for automotive chips

Taiwan-based pure-play foundries will be expanding production capacity for automotive chips at a gradual pace, with additional output to arrive as early as the third quarter of this year, according to industry sources......»»

Category: topSource: digitimesJan 26th, 2021

PCB supply chain to gain from mass production of new Intel server processors

Taiwan's PCB supply chain is poised to embrace a new wave of growth momentum in 2021 from Intel entering volume production of its latest 10nm Ice Lake Xeon scalable server processors in the first quarter of 2021, according to industry sources......»»

Category: topSource: digitimesJan 14th, 2021